ctrl+shift+p filters: :st2 :st3 :win :osx :linux
Browse

Sublime​Linter-contrib-iverilog

by jfcherng ST3

This linter plugin for SublimeLinter provides an interface to iverilog (verilog compiler).

Details

  • 3.0.0
    2.1.4
  • github.​com
  • github.​com
  • 2 weeks ago
  • 2 hours ago
  • 10 years ago

Installs

  • Total 11K
  • Win 8K
  • Mac 1K
  • Linux 2K
Apr 29 Apr 28 Apr 27 Apr 26 Apr 25 Apr 24 Apr 23 Apr 22 Apr 21 Apr 20 Apr 19 Apr 18 Apr 17 Apr 16 Apr 15 Apr 14 Apr 13 Apr 12 Apr 11 Apr 10 Apr 9 Apr 8 Apr 7 Apr 6 Apr 5 Apr 4 Apr 3 Apr 2 Apr 1 Mar 31 Mar 30 Mar 29 Mar 28 Mar 27 Mar 26 Mar 25 Mar 24 Mar 23 Mar 22 Mar 21 Mar 20 Mar 19 Mar 18 Mar 17 Mar 16 Mar 15
Windows 6 8 5 4 6 5 5 11 5 1 10 8 7 13 5 5 2 8 10 14 6 12 6 1 2 9 6 6 3 3 2 6 1 5 8 9 2 5 17 4 8 10 6 8 4 10
Mac 0 0 1 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 3 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 2 0 1
Linux 2 0 1 0 1 1 0 0 0 1 1 1 1 1 2 1 0 2 0 2 0 0 0 0 2 1 1 0 2 0 0 0 0 2 0 1 0 0 0 0 1 0 0 0 0 0

Readme

Source
raw.​githubusercontent.​com

SublimeLinter-contrib-iverilog

Package Control GitHub tag (latest SemVer) Project license GitHub stars Donate to this project using Paypal

This linter plugin for SublimeLinter provides an interface to iverilog into Sublime Text. To make this plugin work, you need to have iverilog installed. This plugin will be activated with files that have the Verilog syntax.

By the way, you may also try

These packages above should beat this one. 🙂

Installation

SublimeLinter must be installed in order to use this plugin. If SublimeLinter is not installed, please follow the instructions here.

Verilog/SystemVerilog syntax highlight is not natively supplied by Sublime Text. You may install Sublime Text Verilog or Sublime Text SystemVerilog to do the job.

Linter installation

  • iverilog >= 0.9

Before installing this plugin, you must ensure that iverilog is installed on your system. To install iverilog, please see this.

Plugin installation

Please use Package Control to install the linter plugin. This will ensure that the plugin will be updated when new versions are available. If you want to install from source so you can modify the source code, you probably know what you are doing so we won't cover that here.

To install via Package Control, do the following:

  1. Within Sublime Text, bring up the Command Palette by Ctrl + Shift + P and type install. Among the commands you should see Package Control: Install Package. If that command is not highlighted, use the keyboard or mouse to select it. There will be a pause of a few seconds while Package Control fetches the list of available plugins.

  2. When the plugin list appears, type iverilog. Among the entries you should see SublimeLinter-contrib-iverilog. If that entry is not highlighted, use the keyboard or mouse to select it.

Settings

For general information on how SublimeLinter works with settings, please see Settings. For information on generic linter settings, please see Linter Settings.

Demo

linting_example

Solving Unknown module type: XXX

There is actually -i flag with the master branch of iverilog to ignore this kind of error messages.

To test whether your iverilog supports it, use the $ iverilog -i command to see whether it says iverilog: invalid option -- 'i'. If your iverilog has no -i flag and you wish to use it, continue the following steps.

  • If you are on Windows,
  1. Just download and install the latest Windows v11 x64 dev build.
  • If you are on Ubuntu,
  1. Download and execute the ubuntu-compile-iverilog-mater.sh from this package.

    curl -sSL "https://raw.githubusercontent.com/jfcherng-sublime/SublimeLinter-contrib-iverilog/master/ubuntu-compile-iverilog-mater.sh" | bash
    
  2. If there is no error, the master branch iverilog should have been installed to /usr/local/iverilog.

  • If you use neither Windows nor Ubuntu,
  1. It should be easy to modify ubuntu-compile-iverilog-mater.sh to fit your OS.

After iverilog has been installed,

  1. Update SublimeLinter's PATH in SublimeLinter's settings file.
"paths": {
       "linux": [
           "/usr/local/iverilog/bin",
       ],
       "osx": [],
       "windows": [
           "C:\\iverilog\\bin",
       ],
   },
  1. Pass the -i flag to the linter by modifying SublimeLinter's settings file.
"linters": {
       "iverilog": {
           "disable": false,
           "args": ["-i"], // add the "-i" flag
           "excludes": [],
       },
   },
  1. The Unknown module type: XXX problem should have been solved (ignored).

Contributing

If you would like to contribute enhancements or fixes, please do the following:

  1. Fork the plugin repository.
  2. Hack on a separate topic branch created from the latest master.
  3. Commit and push the topic branch.
  4. Make a pull request.
  5. Be patient.

Please note that modifications should follow these coding guidelines:

  • Indent is 4 spaces.
  • Code should pass flake8 and pep257 linters.
  • Probably format codes with black code formatter.
  • Vertical whitespace helps readability, don’t be afraid to use it.
  • Please use descriptive variable names, no abbreviations unless they are very well known.

Thank you for helping out!