ctrl+shift+p filters: :st2 :st3 :win :osx :linux
Browse

Sublime​Linter-contrib-modelsim

by jevogel ST3

⚡👌 ModelSim vcom/vlog plugin for SublimeLinter. Linting for VHDL and Verilog/SystemVerilog.

Details

Installs

  • Total 4K
  • Win 4K
  • Mac 151
  • Linux 298
Apr 24 Apr 23 Apr 22 Apr 21 Apr 20 Apr 19 Apr 18 Apr 17 Apr 16 Apr 15 Apr 14 Apr 13 Apr 12 Apr 11 Apr 10 Apr 9 Apr 8 Apr 7 Apr 6 Apr 5 Apr 4 Apr 3 Apr 2 Apr 1 Mar 31 Mar 30 Mar 29 Mar 28 Mar 27 Mar 26 Mar 25 Mar 24 Mar 23 Mar 22 Mar 21 Mar 20 Mar 19 Mar 18 Mar 17 Mar 16 Mar 15 Mar 14 Mar 13 Mar 12 Mar 11
Windows 0 1 2 0 1 0 2 0 1 3 1 3 3 2 3 3 0 0 0 0 1 0 1 0 0 0 0 1 0 0 2 0 1 9 1 3 1 0 0 1 2 2 3 2 0
Mac 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Linux 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 1 0 0 2 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

Readme

Source
raw.​githubusercontent.​com

SublimeLinter-contrib-modelsim

This linter plugin for SublimeLinter provides an interface to vcom/vlog - VHDL/Verilog/SystemVerilog compilers provided with ModelSim and QuestaSim which provide a linting mode. vcom will be used with “VHDL” files , vlog with “Verilog” and “SystemVerilog” files.

Installation

SublimeLinter must be installed in order to use this plugin.

Please use Package Control to install the linter plugin.

Before installing this plugin, you must ensure that vcom/vlog are installed on your system.

In order for vcom/vlog to be executed by SublimeLinter, you must ensure that its path is available to SublimeLinter. The docs cover troubleshooting PATH configuration.

Settings

Passing arguments to vcom/vlog

Arguments can be passed in a linter settings file or set in a project settings file:

  1. Using linter settings file: “javascript // SublimeLinter Settings - User { "linters”: { “vcom”: { “args”: [“-2008”, “-lint”, “-check_synthesis”], “working_dir”: “$project_path/../sim” }, “vlog”: { “args”: [“-sv”, “-lint”, “-check_synthesis”], “working_dir”: “$project_path/../sim” } } } “
  2. Alternately, project specific arguments can be set in a project file: ”javascript “settings”: { // SublimeLinter-contrib-modelsim “SublimeLinter.linters.vcom.args”: [“-2008”, “-lint”, “-check_synthesis”], “SublimeLinter.linters.vcom.working_dir”: “$project_path/../sim”, “SublimeLinter.linters.vlog.args”: [“-sv”, “-lint”, “-check_synthesis”], “SublimeLinter.linters.vlog.working_dir”: “$project_path/../sim” }, “

Demo

vcom for VHDL file:

vcom_lint_example

vlog for Verilog file:

vlog_lint_example