ctrl+shift+p filters: :st2 :st3 :win :osx :linux
Browse

Sublime​Linter-contrib-modelsim

by jevogel ST3

⚡👌 ModelSim vcom/vlog plugin for SublimeLinter. Linting for VHDL and Verilog/SystemVerilog.

Details

Installs

  • Total 4K
  • Win 4K
  • Mac 150
  • Linux 291
Mar 28 Mar 27 Mar 26 Mar 25 Mar 24 Mar 23 Mar 22 Mar 21 Mar 20 Mar 19 Mar 18 Mar 17 Mar 16 Mar 15 Mar 14 Mar 13 Mar 12 Mar 11 Mar 10 Mar 9 Mar 8 Mar 7 Mar 6 Mar 5 Mar 4 Mar 3 Mar 2 Mar 1 Feb 29 Feb 28 Feb 27 Feb 26 Feb 25 Feb 24 Feb 23 Feb 22 Feb 21 Feb 20 Feb 19 Feb 18 Feb 17 Feb 16 Feb 15 Feb 14 Feb 13
Windows 0 0 0 2 0 1 9 1 3 1 0 0 1 2 2 3 2 0 0 1 1 0 2 2 0 3 0 0 1 1 1 1 0 0 1 0 1 0 1 0 0 0 0 0 0
Mac 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Linux 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0

Readme

Source
raw.​githubusercontent.​com

SublimeLinter-contrib-modelsim

This linter plugin for SublimeLinter provides an interface to vcom/vlog - VHDL/Verilog/SystemVerilog compilers provided with ModelSim and QuestaSim which provide a linting mode. vcom will be used with “VHDL” files , vlog with “Verilog” and “SystemVerilog” files.

Installation

SublimeLinter must be installed in order to use this plugin.

Please use Package Control to install the linter plugin.

Before installing this plugin, you must ensure that vcom/vlog are installed on your system.

In order for vcom/vlog to be executed by SublimeLinter, you must ensure that its path is available to SublimeLinter. The docs cover troubleshooting PATH configuration.

Settings

Passing arguments to vcom/vlog

Arguments can be passed in a linter settings file or set in a project settings file:

  1. Using linter settings file: “javascript // SublimeLinter Settings - User { "linters”: { “vcom”: { “args”: [“-2008”, “-lint”, “-check_synthesis”], “working_dir”: “$project_path/../sim” }, “vlog”: { “args”: [“-sv”, “-lint”, “-check_synthesis”], “working_dir”: “$project_path/../sim” } } } “
  2. Alternately, project specific arguments can be set in a project file: ”javascript “settings”: { // SublimeLinter-contrib-modelsim “SublimeLinter.linters.vcom.args”: [“-2008”, “-lint”, “-check_synthesis”], “SublimeLinter.linters.vcom.working_dir”: “$project_path/../sim”, “SublimeLinter.linters.vlog.args”: [“-sv”, “-lint”, “-check_synthesis”], “SublimeLinter.linters.vlog.working_dir”: “$project_path/../sim” }, “

Demo

vcom for VHDL file:

vcom_lint_example

vlog for Verilog file:

vlog_lint_example